single line comment

Posted by Admin on 12-Apr-2012 06:40

Does anyone know why the old single line comment - lines starting with 'period' - does not work for classes? Now, I have to confess I also considered this a bad thing to do back in vi/emac IDE but a single line comment is very handy, maybe just adopting the '//' could be an option for ABL as well.

class Toto:

   .this does not compile (invalid statement .. - 3583)

   .strage enough this compiles just fine (note the ending period).

end class.

while in a procedure the old trick works just fine, seems like only in classes the single line comment have to start and end with a period... but this does not qualify for single line any more

And yes, OEA does not recognize that as comment either... that might be the reason for which the single line comment key binding (CTRL-SHIFT-C) has a totally different meaning there

All Replies

Posted by Admin on 12-Apr-2012 07:00

Why would one want something as critical as disabling a whole line by the use of the tiniest and easiest to miss character at all???

I could live with // but the tiny little dot is just too dangerous.

So for me, the fact the the sole dot does no longer compile in classes is real {p|P}rogress.

Posted by Admin on 12-Apr-2012 07:09

mikefe wrote:

Why would one want something as critical as disabling a whole line by the use of the tiniest and easiest to miss character at all???

I could live with // but the tiny little dot is just too dangerous.

So for me, the fact the the sole dot does no longer compile in classes is real {p|P}rogress.

yeah,  as I've said I do share you view on the 'danger' such an 'invisible'  comment tag posses but still... now it's ok to have it in procedural  world but it fails to compile in classes, although if your comment text  happens to end with period the tinny and easier to miss character hit  again with no visual warning

if that different casing just to tease me it does not need to, different casing works just fine and is configurable now

Posted by Admin on 12-Apr-2012 07:31

if that different casing just to tease me it does not need to, different casing works just fine and is configurable now

No! It was meant as a play with words. It's progress (as in a step in the right direction) made by Progress (as in Progress Software)

This thread is closed